電子技術基礎:數字部分(第六版)

電子技術基礎:數字部分(第六版) 下載 mobi epub pdf 電子書 2024


簡體網頁||繁體網頁
華中科技大學電子技術課程組,康華光 編



點擊這裡下載
    


想要找書就要到 圖書大百科
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

發表於2024-05-03

類似圖書 點擊查看全場最低價

圖書介紹

齣版社: 高等教育齣版社
ISBN:9787040380040
版次:6
商品編碼:12274168
包裝:平裝
叢書名: “十二五”普通高等教育本科國傢級規劃教材 ,
開本:16開
齣版時間:2014-01-01
用紙:膠版紙
頁數:551
字數:820000
正文語種:中文


相關圖書





圖書描述

內容簡介

  本書本書共11章,分彆是:數字邏輯概論,邏輯代數與硬件描述語言基礎,邏輯門電路,組閤邏輯電路,鎖存器和觸發器,時序邏輯電路,半導體存儲器,CPLD和FPGA,脈衝波形的變換與産生,數模與模數轉換器,數字係統設計基礎。附錄中列齣EDA工具QuartusⅡ9.0簡介,電氣簡圖用圖形符號——二進製邏輯單元(GB/T 4728.12—1996)簡介,常用邏輯符號對照錶。

作者簡介

  康華光,1925年8月齣生於湖南衡山,現為華中科技大學教授、博士生導師。長期從事電子技術教學與生物醫學工程研究。康華光教授1951年畢業於武漢大學電機工程學係並留校任教。1953年院係調整到華中科技大學(原華中工學院)工作至今。現任中國電子學會生物醫學電子學分會委員。曾任國傢教委高校工科電工課程教學指導委員會副主任兼電子技術課程教學指導小組組長。由康華光主編的《電子技術基礎》(模擬、數字部分);第1、2、3、4版(高等教育齣版社,1979、1982、1988、1999年)曾先後於1988、1992、1996、2002年榮獲四次奬勵,含優秀教材奬、優秀教材特等奬、科技進步二等奬和優秀教材一等奬。主持研究的“優化電子技術基礎課程建設”項目獲1989年優秀教學研究成果奬。在科研方麵,康華光教授主要從事交叉學科的研究,如生物醫學信息的檢測與分析以及細胞電生理研究。建立瞭國內個具有國際先進水平的細胞信使實驗室。主持瞭多項科研課題,開展國內、國際交流與閤作,成績卓著。培養瞭博士、碩士生40餘名。發錶瞭多篇學術論文和專著《膜片鉗技術及其應用》(科學齣版社,2002年)。

內頁插圖

目錄

1 數字邏輯概論
1.1 數字信號與數字電路
1.1.1 數字技術的發展及其應用
1.1.2 數字集成電路的分類及特點
1.1.3 模擬信號和數字信號
1.1.4 數字信號的描述方法
1.2 數製
1.2.1 十進製
1.2.2 二進製
1.2.3 十一二進製之間的轉換
1.2.4 十六進製和八進製
1.3 二進製數的算術運算
1.3.1 無符號二進製數的算術運算
1.3.2 帶符號二進製數的減法運算
1.4 二進製代碼
1.4.1 二-十進製碼
1.4.2 格雷碼
1.4.3 ASCⅡ碼
1.5 二值邏輯變量與基本邏輯運算
1.6 邏輯函數及其錶示方法
1.6.1 邏輯函數的幾種錶示方法
1.6.2 邏輯函數錶示方法之間的轉換
小結
習題

2 邏輯代數與硬件描述語言基礎
2.1 邏輯代數的基本定律和規則
2.1.1 邏輯代數的基本定律和恒等式
2.1.2 邏輯代數的基本規則
2.2 邏輯函數錶達式的形式
2.2.1 邏輯函數錶達式的基本形式
2.2.2 最小項與最小項錶達式
2.2.3 最大項與最大項錶達式
2.3 邏輯函數的代數化簡法
2.3.1 邏輯函數的最簡形式
2.3.2 邏輯函數的代數化簡法
2.4 邏輯函數的卡諾圖化簡法
2.4.1 用卡諾圖錶示邏輯函數
2.4.2 用卡諾圖化簡邏輯函數
2.5 硬件描述語言Verilog HDL基礎
2.5.1 Verilog語言的基本語法規則
2.5.2 變量的數據類型
2.5.3 運算符及其優先級
2.5.4 Verilog內部的基本門級元件
2.5.5 Verilog程序的基本結構
2.5.6 邏輯功能的仿真與測試
小結
習題

3 邏輯門電路
3.1 邏輯門電路簡介
3.1.1 各種邏輯門電路係列簡介
3.1.2 開關電路
3.2 基本CMOS邏輯門電路
3.2.1 MOS管及其開關特性
3.2.2 CMOS反相器
3.2.3 其他基本CMOS邏輯門電路
3.2.4 CMOS傳輸門
3.3 CMOS邏輯門電路的不同輸齣結構及參數
3.3.1 CMOS邏輯門的保護和緩衝電路
3.3.2 CMOS漏極開路門和三態輸齣門電路
3.3.3 CMOS邏輯門電路的重要技術參數
3.4 類NMOS和BiCMOS邏輯門電路
3.4.1 類NMOS門電路
3.4.2 BiCMOS門電路
3.5 TTL邏輯門電路
3.5.1 BJT的開關特性
3.5.2 TTL反相器的基本電路
3.5.3 改進型TTL門電路——抗飽和TTL門電路
3.5.4 TTL係列門電路特性參數比較
3.6 ECL邏輯門電路
3.7 邏輯描述中的幾個問題
3.7.1 正負邏輯問題
3.7.2 基本邏輯門的等效符號及其應用
3.8 邏輯門電路使用中的幾個實際問題
3.8.1 各係列邏輯門電路之間的接口問題
3.8.2 邏輯門電路驅動其他負載時的接口
3.8.3 抗乾擾措施
3.8.4 CMOS通用邏輯電路中的小尺寸邏輯和寬總綫係列
3.9 用Verilog HDL描述CMOS門電路
3.9.1 CMOS門電路的Verilog建模
3.9.2 CMOS傳輸門電路的Verilog建模
小結
習題

4 組閤邏輯電路
4.1 組閤邏輯電路的分析
4.1.1 組閤邏輯電路的定義
4.1.2 組閤邏輯電路的分析方法
4.2 組閤邏輯電路的設計
4.2.1 組閤邏輯電路的設計過程
4.2.2 組閤邏輯電路的優化實現
4.3 組閤邏輯電路中的競爭-冒險
4.3.1 産生競爭-冒險的原因
4.3.2 消去競爭-冒險的方法
4.4 若乾典型的組閤邏輯電路
4.4.1 編碼器
4.4.2 譯碼器/數據分配器
4.4.3 數據選擇器
4.4.4 數值比較器
4.4.5 算術運算電路
4.5 組閤可編程邏輯器件
4.5.1 PLD的結構、錶示方法及分類
4.5.2 組閤邏輯電路的PLD實現
4.6 用Verilog HDL描述組閤邏輯電路
4.6.1 組閤邏輯電路的行為級建模
4.6.2 分模塊、分層次的電路設計
小結
習題

5 鎖存器和觸發器
5.1 基本雙穩態電路
5.2 SR鎖存器
5.2.1 基本SR鎖存器
5.2.2 門控SR鎖存器
5.3 D鎖存器
5.3.1 D鎖存器的電路結構
5.3.2 典型的D鎖存器集成電路
5.3.3 D鎖存器的動態特性
5.4 觸發器的電路結構和工作原理
5.4.1 主從D觸發器的電路結構和工作原理
5.4.2 典型的主從D觸發器集成電路
5.4.3 主從D觸發器的動態特性
5.4.4 其他電路結構的觸發器
5.5 觸發器的邏輯功能
5.5.1 D觸發器
5.5.2 JK觸發器
5.5.3 T觸發器
5.5.4 SR觸發器
5.5.5 D觸發器邏輯功能的轉換
5.6 用Verilog HDL描述鎖存器和觸發器
5.6.1 時序邏輯電路建模基礎
5.6.2 鎖存器和觸發器的Verilog建模實例
小結
習題

6 時序邏輯電路
6.1 時序邏輯電路的基本概念
6.1.1 時序邏輯電路的基本結構與分類
6.1.2 時序邏輯電路功能的錶達
6.2 同步時序邏輯電路的分析
6.2.1 分析同步時序邏輯電路的一般步驟
6.2.2 同步時序邏輯電路分析舉例
6.3 同步時序邏輯電路的設計
6.3.1 設計同步時序邏輯電路的一般步驟
6.3.2 同步時序邏輯電路設計舉例
6.3.3 同步時序邏輯電路中的時鍾偏移
6.4 異步時序邏輯電路的分析
6.5 若乾典型的時序邏輯電路
6.5.1 寄存器和移位寄存器
6.5.2 計數器
6.6 簡單的時序可編程邏輯器件GAL
6.6.1 GAL的結構
6.6.2 GAL中的輸齣邏輯宏單元
6.6.3 GAL的結構控製字
6.7 用Verilog HDL描述時序邏輯電路
6.7.1 移位寄存器的Verilog建模
6.7.2 計數器的Verilog建模
6.7.3 狀態圖的Verilog建模
6.7.4 數字鍾的Verilog建模
小結
習題

7 半導體存儲器
7.1 隻讀存儲器
7.1.1 ROM的基本結構
7.1.2 二維譯碼與存儲陣列
7.1.3 可編程ROM
7.1.4 ROM讀操作實例
7.1.5 ROM應用舉例
7.2 隨機存取存儲器
7.2.1 SRAM
7.2.2 同步SRAM
7.2.3 DRAM
7.2.4 存儲容量的擴展
7.2.5 RAM應用舉例
小結
習題

8 CPLD和FPGA
8.1 復雜可編程邏輯器件(CPLD)簡介
8.2 現場可編程門陣列(FPCA)
8.2.1 FPGA中編程實現邏輯功能的基本原理
8.2.2 FPGA的結構簡介
8.3 可編程邏輯器件開發過程簡介
8.4 用EDA技術和可編程器件的設計例題
小結
習題

9 脈衝波形的變換與産生
9.1 單穩態觸發器
9.1.1 用門電路組成的單穩態觸發器
9.1.2 集成單穩態觸發器
9.1.3 單穩態觸發器的應用
9.2 施密特觸發器
9.2.1 用門電路組成的施密特觸發器
9.2.2 集成施密特觸發器
9.2.3 施密特觸發器的應用
9.3 多諧振蕩器
9.3.1 門電路組成的多諧振蕩器
9.3.2 用施密特觸發器構成多諧振蕩器
9.3.3 石英晶體多諧振蕩器
9.4 555定時器及其應用
9.4.1 555定時器
9.4.2 用555組成的施密特觸發器
9.4.3 用555組成的單穩態觸發器
9.4.4 用555組成的多諧振蕩器
小結
習題

10 數模與模數轉換器
10.1 D/A轉換器
10.1.1 D/A轉換器的輸入/輸齣特性及其結構框圖
10.1.2 D/A轉換器的基本原理
10.1.3 倒T形電阻網絡D/A轉換器
10.1.4 權電流型D/A轉換器
10.1.5 權電容網絡D/A轉換器
10.1.6 D/A轉換器的輸齣方式
10.1.7 D/A轉換器的主要技術指標
10.1.8 D/A轉換器的應用
10.2 A/D轉換器
10.2.1 A/D轉換的一般工作過程
10.2.2 並行比較型A/D轉換器
10.2.3 逐次比較型A/D轉換器
10.2.4 雙積分式A/D轉換器
10.2.5 A/D轉換器的主要技術指標
10.2.6 集成A/D轉換器及其應用
小結
習題
……
11 數字係統設計基礎
附錄A EDA工具QuartusⅡ9.0簡介
附錄B 電氣簡圖用圖形符號——二進製邏輯單元(GB/T4728.12-1996)簡介
附錄C 常用邏輯符號對照錶
部分習題答案
索引(漢英對照)
參考文獻

前言/序言

  《電子技術基礎(模擬、數字)》,是電子電氣類專業的技術基礎課程教材,該套教材自1979年春由高等教育齣版社齣版發行以來,深受廣大讀者的歡迎。根據當前電子技術發展的新形勢,在第五版的基礎上,推陳齣新。如今電子技術發展的現實是,MOSFETs器件在電子産品中已占統治地位。為瞭適應這一發展形勢,新版教材大力加強瞭MOSFET的相關內容。現就模擬和數字兩部分提齣如下的修訂思路。
  一、模擬部分
  1.運算放大器是模擬部分的核心內容。在第2章中,首先把它理想化,稱之為理想運算放大器,實際的運放將在第7章(模擬集成電路)中講述。這樣的安排是為瞭讓學生易於入門,分散難點,也為瞭讓教師根據各專業的要求作相應的選擇。講完第2章後,即可在教師的指導下進行運放的基本實驗,可使學生對該課程産生興趣,並有初步的成就感。
  2.由於半導體材料和器件製造工藝的進步,場效應管(MOSFETs)與雙極結型三極管(BJTs)相比顯示齣新的優越性而獲得較廣泛的應用。考慮到曆史和現實將第4、5兩章寫成相互獨立的內容,教師可以自由選擇其中任一章先講,後講的章節可以加快進度。
  3.頻率響應一章,除一般知識外,可就MOSFETs和BJTs的相關電路有選擇性地講述。例如重點介紹MOS管及共源放大電路的高低頻響應,最後介紹擴展頻帶的方法。
  4.模擬集成電路一章的內容豐富,可有選擇性地講述:MOSFETs和BJTs的相關電路。至於運算放大器,也可按同樣方法處理。
  5.反饋電子電路是電子電路的重要內容,通過大量的例題和習題以闡明負反饋的基本概念與分析方法,對反饋電路的穩定問題也作瞭簡明分析。二、數字部分1.現代數字電路和係統基本上不再使用中規模集成芯片搭建,而是采用CPLD或:FPGA實現,甚至將係統集成在單一芯片上。其設計過程是將組閤與時序單元電路作為基本模塊由高層調用。因此,教材力求在弱化中規模集成芯片應用的同時,將組閤與時序單元電路作為宏模型介紹。
  2.便攜設備的發展要求CMOS集成電路的電源電壓越來越低,導緻低電壓、超低電壓器件的廣泛使用。教材加強瞭低電源電壓器件及其接口內容介紹,同時削減瞭TTL係列的內容。
  3.增加瞭CMOS通用電路中小尺寸邏輯與寬總綫內容的介紹。小尺寸邏輯芯片是用來修改完善大規模集成芯片之間連綫或外圍電路的。與中規模器件相比,體積更小,速度更快。寬總綫芯片是為滿足計算機總綫驅動而産生的。
  4.為瞭便於學生掌握Verilog描述單元電路的方法,加強瞭Verilog描述組閤及時序單元電路的例題。
  5.當用指定器件實現電路設計時,力求成本低、速度快。介紹瞭EDA工具實現優化設計時,需要用到多乘積項的共用、提取公因子、函數分解等方法。
  6.增加瞭時鍾同步狀態機的同步問題。當數字係統的結構復雜、工作速度快時,時鍾同步問題也越來越突齣。由時鍾偏移等問題引起觸發器誤翻轉會造成係統的誤動作。因此要在設計上避免這類問題的齣現。
  在本版修訂工作中,重新改編瞭例題、復習思考題和習題,以利讀者深入理解教材內容。SPICE部分和Verilog語言部分的內容,供各校師生靈活選用。
  參加本版模擬部分修訂工作的有張林(第1、3、12章及附錄A、B、C)、王岩(第2、7、11章)、陳大欽(第4、9、10章)、楊華(第5、6、8章)等。參加數字部分修訂工作的有秦臻(第1、3、4、11章及附錄B、c)、羅傑(第2章及附錄A)、瞿安連(第5、6章)、張林(第7、8章)、彭容修(第9、10章)。康華光為主編,負責全書的策劃、組織和定稿。陳大欽、張林為模擬部分的副主編;秦臻、張林為數字部分的副主編,協助主編工作。此外,張林還完成瞭模擬電路的SPICE分析;羅傑還完成瞭數字電路的Verilog語言描述。
  電子技術基礎是一門實踐性很強的課程,與本教材配套的實驗教材是由高等教育齣版社齣版的,陳大欽、羅傑主編的《電子技術基礎實驗》。
  本書由哈爾濱工業大學蔡惟錚教授主審,參加審閱的還有王淑娟教授、楊春玲教授和王立欣教授。他們認真審閱瞭本書,提齣瞭不少中肯的修改意見,在此錶示衷心的感謝。第五版發行期間,承全國各兄弟院校師生給我們以鼓勵,寄來瞭不少寶貴意見和建議,編者在此一並緻以謝忱。
電子技術基礎:數字部分(第六版) 下載 mobi epub pdf txt 電子書 格式

電子技術基礎:數字部分(第六版) mobi 下載 pdf 下載 pub 下載 txt 電子書 下載 2024

電子技術基礎:數字部分(第六版) 下載 mobi pdf epub txt 電子書 格式 2024

電子技術基礎:數字部分(第六版) 下載 mobi epub pdf 電子書
想要找書就要到 圖書大百科
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

用戶評價

評分

評分

評分

評分

評分

評分

評分

評分

評分

類似圖書 點擊查看全場最低價

電子技術基礎:數字部分(第六版) mobi epub pdf txt 電子書 格式下載 2024


分享鏈接




相關圖書


本站所有內容均為互聯網搜索引擎提供的公開搜索信息,本站不存儲任何數據與內容,任何內容與數據均與本站無關,如有需要請聯繫相關搜索引擎包括但不限於百度google,bing,sogou

友情鏈接

© 2024 book.qciss.net All Rights Reserved. 圖書大百科 版權所有