基於案例的電子係統設計與實踐

基於案例的電子係統設計與實踐 下載 mobi epub pdf 電子書 2024


簡體網頁||繁體網頁
於天河,薛楠 著



點擊這裡下載
    


想要找書就要到 圖書大百科
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

發表於2024-06-16

類似圖書 點擊查看全場最低價

圖書介紹

店鋪: 夜語笙簫圖書專營店
齣版社: 清華大學齣版社
ISBN:9787302457138
商品編碼:29979864334
包裝:平裝-膠訂
齣版時間:2017-01-01


相關圖書





圖書描述

基本信息

書名:基於案例的電子係統設計與實踐

定價:49.00元

作者:於天河、薛楠

齣版社:清華大學齣版社

齣版日期:2017-01-01

ISBN:9787302457138

字數:

頁碼:

版次:1

裝幀:平裝-膠訂

開本:16開

商品重量:0.4kg

編輯推薦


《基於案例的電子係統設計與實踐》以案例的形式講述瞭眾多貼近生活的電子係統的相關技術,使讀者瞭解和掌握多種電子係統的組成,並具備的電子係統軟、硬件設計能力。本書包括電子電路CAD設計基礎與案例,4個模電、數電方麵的設計案例、5個以單片機為主的設計案例。本書特色:(1)突齣設計能力的培養,加強實踐性與工程性的訓練。根據實際項目開發步驟,讓讀者在完成任務的過程中學習相關知識。(2)結構新穎,各章采用項目案例式設計,從實際應用齣發,有利於激發學習興趣,開拓讀者思路。(3)案例按照以由易到難的順序編排,但相對獨立,讀者可以根據實際情況和學時進行選取。

內容提要


本書在內容上從基礎軟件入手,注重實用性,以案例的形式給齣多個電子設計具體實現的方法。本書共分13章,包括電子電路CAD設計基礎與案例,四個模擬電子數字電子方麵的設計案例、五個以單片機為主的設計案例。目的在於培養學生電子係統的綜閤設計能力,以適應信息時代對相關專業學生知識結構與實踐能力的要求。本書的特點是結構新穎,選用的案例具有較強的實用性和層次性,內容上注重理論與實踐相結閤,著力加強實踐性與工程性的訓練。 本書除作為高等院校電子信息類專業的教材外,還可作為大學生課外電子製作、電子設計競賽和相關工程技術人員的實用參考書與培訓教材。

目錄


目錄

章印製電路闆認知

1.1項目導讀

1.2基礎知識——印製電路闆

1.2.1印製電路闆的基本組成

1.2.2印製電路闆的基本概念

1.2.3印製電路闆的種類

1.2.4印製電路闆的工作層麵

1.3項目實訓——印製電路闆的設計與製作

1.3.1項目參考

1.3.2項目實施過程

第2章集成元件庫設計

2.1項目導讀

2.2基礎知識——元件原理圖庫、PCB元件封裝庫

2.2.1元件原理圖庫編輯器

2.2.2常用的工作麵闆及操作

2.2.3元件原理圖庫的圖紙屬性

2.2.4元件原理圖庫的視圖操作

2.2.5PCB元件封裝庫編輯器

2.2.6PCB Library工作麵闆

2.2.7PCB元件封裝庫的圖紙屬性

2.3項目實訓——集成元件庫的設計與元件製作

2.3.1項目參考

2.3.2項目實施過程

第3章電路原理圖設計

3.1項目導讀

3.2基礎知識——電路原理圖設計

3.2.1原理圖編輯器

3.2.2Libraries工作麵闆

3.2.3原理圖圖紙的設置

3.2.4原理圖優先選項

3.3項目實訓——電路原理圖設計

3.3.1項目參考

3.3.2項目實施過程

第4章印製電路闆設計

4.1項目導讀

4.2基礎知識——PCB設計

4.2.1PCB編輯器

4.2.2PCB工作麵闆

4.2.3PCB優先選項

4.2.4電路闆的規劃設置

4.2.5PCB設計規則

4.3項目實訓——PCB設計

4.3.1項目參考

4.3.2項目實施過程

第5章直流電源電路設計

5.0引言

5.1設計任務及要求

5.1.1設計任務

5.1.2要求

5.2係統整體方案設計

5.2.1設計原理

5.2.2各部分的電路設計

5.3元件參數選擇

5.4電路仿真調試及部分結果圖

5.5設計分析

第6章音頻功率放大器設計

6.0引言

6.1設計任務及要求

6.2音頻功率放大基礎

6.2.1功率放大器常見名詞

6.2.2功率放大原理及分類

6.3設計方案

6.3.1前置放大電路

6.3.2功率放大器

6.4仿真與實現

6.4.1前置放大電路的仿真

6.4.2功率放大器的設計

6.4.3仿真模擬結果

6.4.4實現方案所需元器件

6.5設計分析

第7章低通濾波器設計

7.0引言

7.1設計任務及設計要求

7.1.1設計任務

7.1.2設計要求

7.2原理分析

7.2.1濾波器的分類

7.2.2無源器件的頻域模型

7.2.3運算放大器的基本原理

7.2.4濾波器電路分析

7.3電路設計

7.3.1Multisim使用入門

7.3.2有源低通濾波器的仿真電路設計

7.4仿真結果與驗證

第8章數字顯示定時報警器設計

8.0引言

8.1設計任務及要求

8.2設計方案

8.3硬件電路設計

8.3.1方波信號源設計

8.3.2計時與顯示

8.3.3復位電路

8.3.4後三秒聲響部分

8.3.5停止電路

8.3.6總體電路

第9章超聲波測距儀設計

9.0引言

9.1設計任務及要求

9.2設計方案論證

9.3係統硬件設計

9.3.1主控製模塊

9.3.2主控芯片——STC89C52RC

9.3.3晶振電路

9.3.4復位電路

9.3.5顯示電路——LCD12864液晶顯示屏

9.3.6超聲波測試模塊

9.3.7報警電路設計

9.4軟件設計

9.5係統測試及結果

9.5.1係統硬件測試

9.5.2係統軟件測試

9.5.3測試結果

0章電子密碼鎖設計

10.0引言

10.1設計任務及要求

10.2設計方案論證

10.3係統硬件設計

10.3.1電路總體構成

10.3.2矩陣鍵盤

10.3.3控製電路

10.3.4報警電路

10.3.5密碼存儲電路

10.4係統軟件設計

10.4.1係統程序設計流程圖

10.4.2係統程序設計

10.5係統測試及結果

1章函數信號發生器設計

11.0引言

11.1設計任務及要求

11.2係統整體方案設計

11.3係統硬件設計

11.3.1硬件電路總設計

11.3.2數模轉換器DAC0832

11.3.3放大電路

11.3.4按鍵模塊

11.3.5顯示模塊

11.4軟件設計

11.4.1主程序流程圖

11.4.2主程序

11.5係統測試及結果

11.5.1係統硬件測試

11.5.2係統軟件測試

2章數控穩壓電源設計

12.0引言

12.1設計任務及要求

12.2係統整體方案設計

12.3係統硬件設計

12.3.1電源模塊設計

12.3.2數控穩壓輸齣模塊

12.4軟件設計

12.4.1主程序流程圖

12.5係統測試及結果

12.5.1係統硬件測試

12.5.2係統軟件測試

12.5.3測試結果

3章智能溫度測控係統設計

13.0引言

13.1設計任務及要求

13.2係統整體方案設計

13.3係統硬件設計

13.3.1主控製單元

13.3.2溫度傳感器DS18B20

13.3.3可控矽移相觸發電路

13.4軟件設計

13.4.1主程序流程圖

13.4.2PID控製算法

13.5係統測試及結果

13.5.1係統硬件測試

13.5.2係統軟件測試

參考文獻

作者介紹


文摘









序言



基於案例的電子係統設計與實踐 下載 mobi epub pdf txt 電子書 格式

基於案例的電子係統設計與實踐 mobi 下載 pdf 下載 pub 下載 txt 電子書 下載 2024

基於案例的電子係統設計與實踐 下載 mobi pdf epub txt 電子書 格式 2024

基於案例的電子係統設計與實踐 下載 mobi epub pdf 電子書
想要找書就要到 圖書大百科
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

用戶評價

評分

評分

評分

評分

評分

評分

評分

評分

評分

類似圖書 點擊查看全場最低價

基於案例的電子係統設計與實踐 mobi epub pdf txt 電子書 格式下載 2024


分享鏈接




相關圖書


本站所有內容均為互聯網搜索引擎提供的公開搜索信息,本站不存儲任何數據與內容,任何內容與數據均與本站無關,如有需要請聯繫相關搜索引擎包括但不限於百度google,bing,sogou

友情鏈接

© 2024 book.qciss.net All Rights Reserved. 圖書大百科 版權所有