電子係統設計(第3版)

電子係統設計(第3版) 下載 mobi epub pdf 電子書 2024


簡體網頁||繁體網頁
李金平 著



點擊這裡下載
    


想要找書就要到 圖書大百科
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

發表於2024-05-14

類似圖書 點擊查看全場最低價

圖書介紹

齣版社: 電子工業齣版社
ISBN:9787121316333
版次:3
商品編碼:12103339
包裝:平裝
叢書名: 電子信息科學與工程類專業規劃教材
開本:16開
齣版時間:2017-06-01
用紙:膠版紙
頁數:408
字數:718000
正文語種:中文


相關圖書





圖書描述

內容簡介

本書是依據高等工科院校電子技術實踐教學大綱的基本要求,並結閤作者多年的科研與教學的經驗編寫的。全書以電子係統設計為目標,係統講解瞭元器件選擇、傳感器應用、信號調理電路、A/D和D/A轉換、可編程器件開發應用、單片機係統、驅動電路及智能電子係統的設計原理與設計方法,並提供瞭大量、翔實的設計實例。本書適應應用型人纔的培養需求,具有先進性、實用性、係統性和靈活性。

本書可作為電氣與電子信息類專業“電子係統設計”課程教材,還可作為全國大學生電子設計競賽的培訓教材,也可作為電子係統設計技術人員的參考書。

作者簡介

李金平,教授,主要研究方嚮:智能電子信息係統。主講課程:模擬電子技術、數字邏輯電路、專業導論等,發錶各類科研、教改論文40餘篇,主編的《模擬集成電路基礎》獲北京市精品教材。

目錄

第1章 電子係統設計基礎知識
1.1 電子係統的設計方法
1.1.1 明確係統的設計任務和要求
1.1.2 方案的比較與選擇
1.1.3 單元電路的設計、參數計算和元器件選擇
1.1.4 電路的仿真
1.1.5 電路圖的繪製及印製電路闆的設計
1.2 電子係統的組裝與調試
1.2.1 電子係統的組裝
1.2.2 電子係統的調試
1.3 電子係統的抗乾擾技術
1.3.1 在乾擾源處采取措施
1.3.2 在耦閤通道上采取措施
1.3.3 在傳輸通道上采取措施
思考題與習題
第2章 常用電子元器件
2.1 電阻器
2.1.1 電阻器的作用
2.1.2 電阻器的分類
2.1.3 電阻器的主要技術指標
2.1.4 電阻器的閤理選用與質量判彆
2.2 電位器
2.2.1 電位器的作用
2.2.2 電位器的分類
2.2.3 電位器的主要技術指標
2.2.4 幾種常用的電位器
2.2.5 電位器的閤理選用與質量判彆
2.3 電容器4
2.3.1 電容器的作用
2.3.2 電容器的分類
2.3.3 電容器的主要技術參數
2.3.4 幾種常用的電容器
2.3.5 電容器的閤理選用與質量判彆
2.4 電感器和變壓器
2.4.1 電感器
2.4.2 變壓器
2.5 繼電器
2.5.1 繼電器的作用
2.5.2 繼電器的分類
2.5.3 電磁式繼電器的主要參數
2.5.4 電磁式繼電器的閤理選用與質量判彆
2.6 半導體器件
2.6.1 晶體二極管
2.6.2 晶體三極管
2.6.3 場效應管(FET)
2.6.4 晶閘管
2.7 常用集成電路器件
2.7.1 集成電路的分類
2.7.2 集成電路的主要參數
2.7.3 常用集成電路簡介
2.7.4 集成電路的選用原則及注意事項
2.8 傳感器
2.8.1 溫敏傳感器
2.8.2 光電傳感器
2.8.3 氣敏傳感器
2.8.4 濕敏傳感器
2.8.5 磁敏傳感器
2.8.6 力敏傳感器
2.8.7 傳感器的選用
思考題與習題
第3章 電子電路的仿真
3.1 電子電路計算機仿真技術概述
3.2 Multisim簡介
3.2.1 設計主界麵的進入及主界麵的介紹
3.2.2 Multisim主菜單簡介
3.2.3 Multisim元件數據庫介紹
3.2.4 Multisim中建立電路並仿真電路
3.3 Multisim的應用實例
3.3.1 電子元器件的性能測試
3.3.2 濾波電路分析
3.3.3 模擬電路的設計與分析
3.3.4 數字電路的設計與分析
思考題與習題
第4章 電子係統中的常用單元電路設計
4.1 穩壓電源電路的設計
4.1.1 穩壓電源的主要技術指標及組成
4.1.2 整流、濾波電路及其設計
4.1.3 直流穩壓電路及其設計
4.2 信號發生電路的設計
4.2.1 信號發生電路的主要性能要求
4.2.2 信號發生電路的一般設計方法
4.2.3 正弦波振蕩電路的設計
4.2.4 RC方波振蕩電路的設計
4.2.5 函數發生器的設計
4.3 信號選擇電路的設計
4.3.1 多路信號選擇電路
4.3.2 有源濾波電路的設計
4.4 信號調理單元電路的設計
4.4.1 小信號放大電路
4.4.2 頻率變換電路設計
4.4.3 信號整形電路設計
4.5 A/D、D/A接口電路的設計
4.5.1 A/D接口電路設計
4.5.2 D/A接口電路設計
4.6 驅動電路的設計
4.6.1 常用驅動器的選擇及其典型應用
4.6.2 常用光電耦閤器的選擇及其驅動電路
4.7 控製單元電路的設計
4.7.1 聲控電路及其設計
4.7.2 光控電路及其設計
4.7.3 遙控電路及其設計
思考題與習題
第5章 係統可編程技術
5.1 可編程器件的基本原理
5.1.1 可編程邏輯器件基本原理
5.1.2 可編程邏輯器件編程工藝
5.2 高密度在係統可編程邏輯器件
5.2.1 復雜可編程邏輯器件CPLD
5.2.2 現場可編程門陣列器件FPGA
5.2.3 CPLD器件和FPGA器件的編程、適配與邊界掃描測試技術
5.3 可編程邏輯器件開發軟件及應用
5.3.1 Quartus II軟件安裝工作簡介
5.3.2 Quartus II軟件的主界麵
5.3.3 文本輸入設計法
5.3.4 原理圖輸入設計法
5.3.5 Quartus II的層次化設計
5.3.6 VHDL設計
5.4 數字係統開發實例
5.4.1 ASM圖與交通燈控製器設計
5.4.2 齣租車計程計價錶
5.5 SOPC係統設計實例
5.5.1 SOPC係統硬件設計
5.5.2 SOPC係統軟件開發
5.5.3 SOPC_Nios II CPU工程小結
思考題與習題
第6章 單片機應用係統設計
6.1 最小應用係統設計
6.1.1 MCS-51係列單片機結構
6.1.2 AT89C52單片機指令集5
6.1.3 AT89C52單片機最小應用係統
6.2 單片機係統擴展
6.2.1 數據存儲器
6.2.2 I/O接口擴展
6.3 單片機接口電路設計
6.3.1 鍵盤接口電路
6.3.2 顯示接口
6.3.3 打印接口
6.3.4 通信接口
6.4 基於單片機的波形發生器設計及實現
6.4.1 基於單片機的波形發生器設計任務要求
6.4.2 基於單片機的波形發生器設計過程
思考題與習題
第7章 實用電子係統設計舉例及課題
7.1 電子係統綜閤設計實例
7.1.1 數控直流電源的設計
7.1.2 波形發生器的設計
7.1.3 簡易邏輯分析儀的設計
7.1.4 簡易數字存儲示波器設計
7.2 電子技術課程設計課題
7.2.1 CDMA設備用AC/DC開關穩壓電源
7.2.2 多路輸齣的直流穩壓電源
7.2.3 小功率綫性直流穩壓電源
7.2.4 開關型直流穩壓電源
7.2.5 簡易開關式充電器
7.2.6 鎳鎘電池快速充電器
7.2.7 車用鎳鎘電池充電器
7.2.8 車距報警器
7.2.9 氣體煙霧報警器
7.2.10 汽車無綫報警器
7.2.11 電子體溫計
7.2.12 開關電源電磁乾擾濾波器
7.2.13 抗混疊低通濾波器
7.2.14 階梯波發生器
7.2.15 自行車時速錶
7.2.16 電阻精度篩選儀
7.2.17 信號波形發生器
7.2.18 OCL低頻功率放大器
7.2.19 洗衣機控製電路
7.2.20 無綫紅外耳機
7.2.21 無綫遙控電控鎖
7.2.22 綫路尋跡器
7.2.23 8路搶答器
7.3 電子係統綜閤設計課題
7.3.1 有關電源的課題
7.3.2 有關信號源的課題
7.3.3 有關放大器的課題
7.3.4 有關電參量測量和電子儀器的課題
7.3.5 有關數據采集的課題
7.3.6 有關檢測和自動控製的課題
7.3.7 有關無綫電的課題

前言/序言

  本書是在2012年編寫的《電子係統設計(第2版)》基礎上修訂再版的。在第2版齣版後的幾年裏,電子係統設計及應用技術有瞭很大進步,教學實踐改革有瞭新的進展,采用第2版作為教材的學校不斷增加,這些都要求本書修訂後再版。

  在修訂過程中,遵循“保持特色、與時俱進、精選內容、突齣應用、便於教學”的原則,依據現代電子信息領域對電氣與電子信息類專業本科人纔核心能力的要求,以培養電氣與電子信息類專業本科人纔的電子係統設計、應用能力為目標,力求跟蹤電子信息技術動態,突齣先進的現代電子係統設計技術,搭建適閤電子技術應用型人纔的、具有開放性的知識結構和具有創新意識的能力結構,提供更翔實的電子係統設計實例,使讀者更便於全麵地瞭解電子係統,特彆是智能化電子係統的技術構成與特點,掌握先進的現代電子係統的設計技術及其設計方法。

  本次再版雖然將原書的8章壓縮為7章,篇幅有所減少,但仍保持瞭第2版教材的實用性、係統性和靈活性等特點。與第2版相比,本書主要在以下幾個方麵做瞭改動。

  (1)基於第2版教材幾年來的應用實踐,對全書內容進行瞭進一步的優化。例如,在第3章中適當刪減瞭作者認為略顯冗繁的內容;在第5章中刪除瞭應用較少的係統可編程模擬器件及軟件開發內容;另外,考慮到原第7章是以Protel繪圖軟件為基礎展開介紹的,目前該軟件已經升級為AltiumDesigner,而介紹該軟件使用方法的書籍比較多,網上也有相應的電子教程,查詢起來也較為方便,故本次再版將該章刪除。

  (2)為瞭適應電子技術的發展,本書就電子設計平颱和內容進行瞭更新修改。例如,在第5章中增加瞭SOPC係統設計內容,更新充實瞭應用實例,使教材更具有先進性和實用性。

  (3)對第2版教材薄弱部分進行瞭完善和補充。如第6章單片機應用係統設計中增加瞭案例設計一節,習題部分增加瞭基於單片機的工程案例參考設計題目,使本書內容更加實用、好用。

  本書由李金平教授主編,瀋明山、薑餘祥副教授參編。第1、2、4、7章由李金平編寫,第3、5章由瀋明山編寫,第6章由薑餘祥編寫。全書由李金平負責文字潤飾和統稿,瀋明山、薑餘祥負責本書的校閱工作。

  為使讀者易於掌握相關知識,常用器件的主要參數和基於單片機的波形發生器請登錄華信教育資源網www.hxedu.com.cn,注冊後免費下載。

  本書編寫過程中,得到瞭北京聯閤大學信息學院有關專傢、教授的支持與幫助,在此錶示深深的謝意!也感謝電子工業齣版社淩毅編輯及其他工作人員對本書編寫、齣版的幫助與支持,更感謝所有關心和采用本教材的教師與讀者的支持與厚愛。

  由於作者水平有限,不足之處在所難免,懇請讀者批評指正。

  編著者

  2017年5月



電子係統設計(第3版) 下載 mobi epub pdf txt 電子書 格式

電子係統設計(第3版) mobi 下載 pdf 下載 pub 下載 txt 電子書 下載 2024

電子係統設計(第3版) 下載 mobi pdf epub txt 電子書 格式 2024

電子係統設計(第3版) 下載 mobi epub pdf 電子書
想要找書就要到 圖書大百科
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

用戶評價

評分

評分

評分

評分

評分

評分

評分

評分

評分

類似圖書 點擊查看全場最低價

電子係統設計(第3版) mobi epub pdf txt 電子書 格式下載 2024


分享鏈接




相關圖書


本站所有內容均為互聯網搜索引擎提供的公開搜索信息,本站不存儲任何數據與內容,任何內容與數據均與本站無關,如有需要請聯繫相關搜索引擎包括但不限於百度google,bing,sogou

友情鏈接

© 2024 book.qciss.net All Rights Reserved. 圖書大百科 版權所有