EDA技術與FPGA應用設計(第2版)

EDA技術與FPGA應用設計(第2版) 下載 mobi epub pdf 電子書 2024


簡體網頁||繁體網頁
張文愛,張博 著



點擊這裡下載
    


想要找書就要到 圖書大百科
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

發表於2024-05-15

類似圖書 點擊查看全場最低價

圖書介紹

齣版社: 電子工業齣版社
ISBN:9787121290220
版次:2
商品編碼:11963152
包裝:平裝
叢書名: 電子信息科學與工程類專業規劃教材
開本:16開
齣版時間:2016-06-01
用紙:膠版紙
頁數:280
字數:448000
正文語種:中文


相關圖書





圖書描述

內容簡介

  

本書主要內容包括CPLD/FPGA可編程邏輯器件介紹,可編程邏輯器件EDA開發軟件使用,VHDL硬件描述語言設計方法和SOPC應用,實驗和設計實踐5大部分。第一部分CPLD/FPGA可編程邏輯器件主要介紹可編程器件結構原理、設計流程、常用芯片特點及選用;第二部分重點介紹目前國內外常用EDA軟件isp Design EXPERT System、QuartusⅡ、ISE開發流程及ModelSim仿真應用;第三部分重點講述VHDL語言基礎、描述方法及設計實例;第四部分主要介紹DSP Builder、SOPC Builder、NiosⅡ應用及實例;第五部分介紹實驗及課程設計內容。 本書可作為高等學校電子信息類、電氣信息類各專業的教材,也可作為電子工程設計技術人員的參考書。

作者簡介

張文愛,太原理工大學信息工程學院碩士生導師,主要研究方嚮有:智能信息處理、FPGA應用設計、嵌入式係統設計、自動控製係統應用設計等。

目錄

第1章 可編程邏輯器件概述
1.1 數字邏輯電路設計與ASIC技術
1.1.1 數字邏輯電路設計方法
1.1.2 ASIC及其設計方法
1.2 PLD概述
1.2.1 PLD的發展
1.2.2 PLD的分類
1.3 PLD邏輯錶示法
1.4 PLD的設計與開發
1.4.1 PLD的設計流程
1.4.2 PLD的開發環境
1.4.3 IP核復用技術
習題1
第2章 大規模可編程邏輯器件CPLD/FPGA
2.1 CPLD結構與工作原理
2.1.1 Lattice公司的CPLD器件係列
2.1.2 ispLSI 1016的結構
2.1.3 ispLSI係列器件的主要技術特性
2.1.4 ispLSI器件的設計與編程
2.2 FPGA內部結構與工作原理
2.3 CPLD/FPGA産品概述
2.3.1 Altera公司産品
2.3.2 Xilinx公司産品
2.3.3 Lattice公司産品
2.4 編程與配置
2.4.1 在係統可編程ISP
2.4.2 配置
2.5 CPLD與FPGA的比較和選用
習題2
第3章 常用EDA軟件
3.1 isp Design EXPERT System編程軟件
3.1.1 建立設計項目
3.1.2 原理圖源文件輸入
3.1.3 功能和時序仿真
3.1.4 器件適配
3.1.5 器件編程
3.1.6 VHDL源文件輸入方法
3.2 Quartus II操作指南
3.2.1 建立設計工程
3.2.2 原理圖源文件輸入
3.2.3 編譯
3.2.4 仿真驗證
3.2.5 器件編程
3.2.6 VHDL設計輸入方法
3.3 ISE開發軟件
3.3.1 ISE概述
3.3.2 新建工程
3.3.3 新建VHDL源文件
3.3.4 波形仿真
3.3.5 設計實現
3.3.6 下載配置
3.4 ModelSim仿真軟件
3.4.1 ModelSim與VHDL仿真概述
3.4.2 ModelSim仿真步驟
3.4.3 VHDL測試文件
習題3
第4章 VHDL語言基礎
4.1 VHDL語言的基本組成
4.1.1 參數部分
4.1.2 實體部分
4.1.3 結構體部分
4.2 VHDL語言要素
4.2.1 文字規則
4.2.2 數據對象
4.2.3 VHDL中的數據類型
4.2.4 VHDL語言的運算符
4.2.5 VHDL的屬性
習題4
第5章 VHDL基本描述語句
5.1 順序語句
5.1.1 順序賦值語句
5.1.2 IF語句
5.1.3 CASE語句
5.1.4 LOOP語句
5.1.5 NEXT語句
5.1.6 EXIT語句
5.1.7 WAIT語句
5.1.8 NULL語句
5.2 並行語句
5.2.1 並行信號賦值語句
5.2.2 PROCESS進程語句
5.2.3 元件例化語句
5.2.4 BLOCK塊語句
5.2.5 GENERATE生成語句
習題5
第6章 子程序與程序包
6.1 子程序
6.1.1 函數
6.1.2 過程
6.2 程序包
6.2.1 程序包定義
6.2.2 程序包引用
6.2.3 常用預定義程序包
習題6
第7章 常用電路的VHDL描述
7.1 組閤邏輯電路VHDL描述
7.1.1 基本門電路
7.1.2 編碼器
7.1.3 譯碼器
7.1.4 數值比較器
7.1.5 數據選擇器
7.1.6 算術運算
7.1.7 三態門電路
7.1.8 雙嚮端口設計
7.2 時序邏輯電路VHDL描述
7.2.1 觸發器
7.2.2 計數器
7.2.3 移位寄存器
7.2.4 狀態機
7.3 存儲器設計
7.3.1 ROM存儲器設計
7.3.2 RAM存儲器設計
習題7
第8章 宏功能模塊與IP核應用
8.1 LPM_RAM
8.1.1 LPM_RAM宏模塊定製
8.1.2 工程編譯
8.1.3 仿真驗證
8.1.4 查看RTL原理圖
8.1.5 LPM_RAM應用
8.2 LPM_ROM宏模塊
8.2.1 建立初始化數據文件
8.2.2 LPM_ROM宏模塊配置
8.2.3 仿真驗證
8.2.4 LPM_ROM模塊調用
8.3 時鍾鎖相環宏模塊
8.3.1 LPM_PLL宏模塊配置
8.3.2 PLL模塊調用
8.3.3 仿真驗證
8.4 片內邏輯分析儀
8.4.1 新建邏輯分析儀設置文件
8.4.2 引腳鎖定
8.4.3 編程下載
8.4.4 信號采樣
習題8
第9章 DSP Builder應用
9.1 DSP Builder軟件安裝
9.2 DSP Builder設計實例
9.2.1 建立Simulink模型
9.2.2 模型仿真
9.2.3 模型編譯
習題9
第10章 SOPC Builder應用
10.1 SOPC Builder
10.2 Nios II 綜閤設計實例
習題10
第11章 EDA技術實驗
11.1 原理圖輸入方式
11.1.1 實驗一 1位全加器
11.1.2 實驗二 兩位十進製計數器
11.2 VHDL文本輸入方式
11.2.1 實驗三 顯示譯碼器
11.2.2 實驗四 8位加法器
11.2.3 實驗五 3綫-8綫譯碼器
11.2.4 實驗六 十進製加法計數器
11.2.5 實驗七 4位十進製計數顯示器
11.2.6 實驗八 用狀態機實現序列檢測器
第12章 綜閤設計
12.1 移位相加8位硬件乘法器
12.1.1 設計要求
12.1.2 設計原理
12.1.3 部分參考程序
12.1.4 設計步驟
12.1.5 設計報告
12.2 秒錶
12.2.1 設計要求
12.2.2 設計原理
12.2.3 部分參考程序
12.2.4 設計步驟
12.2.5 設計報告
12.3 搶答器
12.3.1 設計要求
12.3.2 設計原理
12.3.3 部分參考程序
12.3.4 設計步驟
12.3.5 設計報告
12.4 數字鍾
12.4.1 設計要求
12.4.2 設計方案
12.4.3 部分參考程序
12.4.4 設計步驟
12.4.5 設計報告
12.5 交通燈控製器
12.5.1 設計要求
12.5.2 設計原理
12.5.3 部分參考程序
12.5.4 設計步驟
12.5.5 設計報告
12.6 多路彩燈控製器
12.6.1 設計要求
12.6.2 設計方案
12.6.3 VHDL參考程序
12.6.4 設計步驟
12.6.5 設計報告
附錄A DE2-115實驗闆引腳配置信息
參考文獻

前言/序言

  隨著集成電路技術和EDA技術的快速發展,數字係統設計方法不斷演變,由原來單一的硬件邏輯設計發展成3個分支:硬件邏輯設計、軟件邏輯設計、專用集成電路設計(ASIC)。基於可編程邏輯器件的ASIC設計成為數字係統設計的重要分支,有關可編程邏輯器件的開發與應用的課程成為電子信息類、電氣信息類各專業的必修課程。


基於PLD的EDA技術主要包括可編程邏輯器件知識、EDA開發軟件、硬件描述語言、代錶可編程器件最新發展的SOPC、實驗和設計實踐5大部分。本書主要介紹Lattice、Altera、Xilinx公司的CPLD、FPGA係列器件,以及與其配套的EDA工具軟件isp Design EXPERT System、QuartusⅡ、ISE,硬件描述語言則介紹IEEE標準語言VHDL。


本書共12章,第1~2章介紹可編程邏輯器件的發展演變、結構特點、産品係列等,側重於根據需要選擇適當器件;第3章主要介紹常用EDA開發工具的設計流程及仿真、驗證的操作步驟;第4~8章詳細介紹VHDL語言基礎、語句結構、設計方法、設計實例、子程序結構、宏與IP核的應用等;第9~10章主要介紹最新可編程器件SOPC的應用實踐;第11~12章為實驗和設計環節。


本書在編寫時,力求理論體係全麵完整、實用性強,便於快速掌握;程序設計先介紹整體結構,再介紹語言細節、常用描述方法;針對學生易混淆的概念、易犯的錯誤及技術要點、難點,穿插適當的設計實例及相應的習題;所附設計實例都經過設計驗證,可直接引用,為便於閱讀,附加瞭有效的注釋。建議講授課時40~60學時,實驗課時12~20學時。


本書由張文愛編寫第3、5章,張博編寫第7、8章,喬學工編寫第1章,梁風梅編寫第2章,李鴻鷹編寫第4章,冀小平編寫第6章,閻高偉編寫第9章,李瑞蓮編寫第10章,羅霄華編寫第11章,李彥民編寫第12章。全書最後由張文愛、張博修改定稿。


本書提供配套的電子課件和程序源代碼,可登錄華信教育資源網:www.hxedu.com.cn,注冊後免費下載。


在本書第2版修訂過程中,更新瞭PLD器件産品係列以及EDA開發軟件的版本,增加瞭ModelSim仿真應用,對部分設計實例進行瞭刪減。為方便實踐環節的教學,補充瞭實驗及設計章節。編寫參閱瞭Lattice、Altera、Xilinx、Mentor Graphics等公司公開的技術資料,參考瞭許多相關的專著和教材,在此謹嚮相關公司和作者錶示衷心的感謝。


由於編者水平有限,書中錯漏和不足之處難免,殷切期望讀者批評指正。






作者  


2016年5月




EDA技術與FPGA應用設計(第2版) 下載 mobi epub pdf txt 電子書 格式

EDA技術與FPGA應用設計(第2版) mobi 下載 pdf 下載 pub 下載 txt 電子書 下載 2024

EDA技術與FPGA應用設計(第2版) 下載 mobi pdf epub txt 電子書 格式 2024

EDA技術與FPGA應用設計(第2版) 下載 mobi epub pdf 電子書
想要找書就要到 圖書大百科
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

用戶評價

評分

評分

評分

評分

評分

評分

評分

評分

評分

類似圖書 點擊查看全場最低價

EDA技術與FPGA應用設計(第2版) mobi epub pdf txt 電子書 格式下載 2024


分享鏈接




相關圖書


本站所有內容均為互聯網搜索引擎提供的公開搜索信息,本站不存儲任何數據與內容,任何內容與數據均與本站無關,如有需要請聯繫相關搜索引擎包括但不限於百度google,bing,sogou

友情鏈接

© 2024 book.qciss.net All Rights Reserved. 圖書大百科 版權所有