模擬集成電路設計 以LDO設計為例(原書第2版) [Analog IC Design with Low-Dropout Regulators,Secon]

模擬集成電路設計 以LDO設計為例(原書第2版) [Analog IC Design with Low-Dropout Regulators,Secon] 下載 mobi epub pdf 電子書 2024


簡體網頁||繁體網頁
[美] 林康-莫萊(Gabriel Alfonso Rincon-Mora) 著,陳曉飛 等 譯



點擊這裡下載
    


想要找書就要到 圖書大百科
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

發表於2024-05-04

類似圖書 點擊查看全場最低價

圖書介紹

齣版社: 機械工業齣版社
ISBN:9787111534969
版次:1
商品編碼:11926271
品牌:機工齣版
包裝:平裝
叢書名: 國際電氣工程先進技術譯叢
外文名稱:Analog IC Design with Low-Dropout Regulators,Secon
開本:16開
齣版時間:2016-05-01
用紙:膠版紙##


相關圖書





圖書描述

編輯推薦

《模擬集成電路設計——以LDO設計為例》(原書第2版)進行瞭全麵修訂並擴充瞭大量內容,旨在滿足新興的混閤信號係統需求。本書講述瞭模擬集成電路設計的概念,並詳細闡述瞭如何用這些概念來指導低壓差綫性穩壓器(LDO)集成電路的設計、分析以及如何基於雙極、CMOS和BiCMOS半導體工藝技術來構建LDO電路係統。本書十分重視對電路洞察力的培養,對提齣的課題進行直觀分析並得齣結論。本書還展示瞭如何開發和評估針對當今日益增長的無綫和移動市場應用的模擬集成電路芯片。另外,書中大量的實例和章末復習思考題幫助讀者加深對這一前沿指導中所發展齣的重要概念和技術的理解。
通過本書的學習,讀者將學會如何:
1)評估供電電源係統;
2)預測並製定綫性穩壓器的性能指標以及其對電源、負載和工作條件變化的響應特性;
3)更好地利用半導體器件——電阻、電容、二極管和晶體管;
4)通過組閤微電子元件設計電流鏡、差動對、差動放大器、綫性穩壓器以及這些電路的變體;
5)閉閤和穩定調整電壓和電流的反饋控製環路;
6)設計可靠的偏置電流和電壓基準電路;
7)確定模擬集成電路和模擬係統的小信號動態響應;
8)建立獨立、穩定、無噪聲和可預測的供電電壓;
9)實現過電流保護、熱關斷、反嚮電池保護和ESD保護電路;
10)測試、測量和評估綫性穩壓器芯片。

內容簡介

模擬集成電路設計——以LDO設計為例(原書第2版)藉由集成綫性穩壓器的設計,全麵介紹瞭模擬集成電路的設計方法,包括固態半導體理論、電路設計理論、模擬電路基本單元分析、反饋和偏置電路、頻率響應、綫性穩壓器集成電路設計以及電路保護和特性等。模擬集成電路設計——以LDO設計為例(原書第2版)從麵嚮設計的角度來闡述模擬集成電路的設計,強調直覺和直觀、係統目標、可靠性和設計流程,藉助大量的實例,嚮初學者介紹整個模擬集成電路的設計流程,並引導其熟悉應用,同時本書也適用於有經驗的電源集成電路設計工程師,不僅能幫助他們對模擬電路和綫性穩壓器的理論有更深刻的理解,而且書中所呈現的綫性穩壓器的技術發展也可以給予他們很多啓發,是一本兼具實用性和學術價值的模擬集成電路和集成綫性穩壓器設計的優秀教科書和參考書。

作者簡介

GabrielAlfonsoRincón-Mora博士,1994~2003年供職於德州儀器公司,擔任一個高級集成電路設計團隊的領導。1999年Rincón-Mora博士受聘為佐治亞理工學院的兼職教授,並在2001年受聘為全職教授,自2011年起,受聘為颱灣成功大學的客座教授。他是IEEE和IET的院士,同時也是38項專利的發明人/共同發明人和超過160篇論文的作者/共同作者。Rincón-Mora博士已經寫過8本著作,成功設計26餘款商用電源芯片,並且獲得瞭多項奬勵,包括西班牙裔專業工程師協會(SHPE)頒發的全國西班牙裔技術奬,佛羅裏達國際大學頒發的CharlesE�盤erry遠見奬,加利福尼亞州副州長頒發的錶彰證書,IEEECASS頒發的IEEE服務奬,空軍基地頒發的西班牙裔驕傲和遺産奬。2000年,佐治亞理工學院邀請Rincón-Mora博士加入傑齣青年工程師校友理事會,同年西班牙商業雜誌將其列為“一百個具影響力的西班牙裔”之一。目前他主要緻力於利用微型電池和環境能量為無綫和移動設備供電的集成電路係統的研究。

目錄

譯者序
原書前言
作者簡介
第1章電源係統1
1.1電源管理中的穩壓器1
1.2綫性穩壓器和開關穩壓器的對比2
1.2.1響應時間的摺中3
1.2.2噪聲4
1.2.3功率轉換效率4
1.3市場需求5
1.3.1係統5
1.3.2集成6
1.3.3工作壽命6
1.3.4電源淨空7
1.4電源8
1.4.1早期電池8
1.4.2鋰離子電池9
1.4.3燃料電池9
1.4.4核能電池10
1.4.5能量收集器10
1.5計算機仿真11
1.6總結12
1.7復習題13
第2章綫性穩壓器14
2.1工作區域14
2.2性能指標15
2.2.1精度15
2.2.2功率轉換效率25
2.2.3工作要求27
2.2.4品質因子29
2.3工作環境30
2.3.1負載31
2.3.2穩壓點32
2.3.3寄生效應33
2.4分類34
2.4.1輸齣電流34
2.4.2壓差34
2.4.3補償34
2.4.4類彆35
2.5模塊級構成36
2.6總結37
2.7復習題38
第3章微電子器件39
3.1電阻39
3.1.1工作原理39
3.1.2寄生元件40
3.1.3版圖40
3.1.4絕對精度和相對精度42
3.2電容43
3.2.1工作原理43
3.2.2寄生元件44
3.2.3版圖45
3.2.4絕對精度和相對精度45
3.3PN結二極管46
3.3.1工作原理46
3.3.2寄生元件49
3.3.3版圖和匹配50
3.3.4小信號模型52
3.4雙極型晶體管(BJT)53
3.4.1工作原理53
3.4.2縱嚮BJT56
3.4.3橫嚮BJT57
3.4.4襯底BJT58
3.4.5小信號模型59
3.5金屬-氧化物-半導體場效應晶體管(MOSFET)61
3.5.1工作原理61
3.5.2寄生電容66
3.5.3P溝道MOSFET67
3.5.4晶體管變化67
3.5.5版圖和匹配69
3.5.6小信號模型71
3.5.7MOS電容73
3.5.8溝道電阻73
3.6結型場效應晶體管(JFET)73
3.6.1工作原理73
3.6.2P溝道JFET75
3.6.3大信號模型75
3.6.4版圖和匹配76
3.6.5小信號模型76
3.6.6相對性能78
3.7絕對精度和相對精度78
3.8總結79
3.9復習題80
第4章單晶體管基本單元82
4.1二端口模型82
4.2頻率響應83
4.2.1極點84
4.2.2零點85
4.2.3米勒分裂87
4.2.4電容-分流-電阻法88
4.3信號流89
4.3.1輸入和輸齣89
4.3.2極性89
4.3.3單晶體管基本單元90
4.4共發射極/共源極跨導器90
4.4.1大信號工作90
4.4.2小信號模型91
4.4.3頻率響應93
4.4.4發射極/源極負反饋95
4.5共基極/共柵極電流緩衝器99
4.5.1大信號工作99
4.5.2小信號模型100
4.5.3頻率響應103
4.5.4基極負反饋104
4.6共集電極/共漏極電壓跟隨器104
4.6.1大信號工作104
4.6.2小信號模型105
4.6.3頻率響應108
4.7小信號概括和近似109
4.7.1功能109
4.7.2電阻110
4.7.3頻率響應112
4.8總結113
4.9復習題114
第5章模擬電路基本單元115
5.1電流鏡115
5.1.1工作原理115
5.1.2小信號模型118
5.1.3帶基極電流校正的電流鏡119
5.1.4電壓校正共源共柵/共射共基(Cascode)電流鏡120
5.1.5低電壓Cascode電流鏡121
5.2差動對123
5.2.1大信號工作124
5.2.2差分信號125
5.2.3共模信號127
5.2.4發射極/源極負反饋128
5.2.5CMOS差動對129
5.3基極/柵極耦閤對130
5.3.1大信號工作130
5.3.2小信號響應132
5.3.3輸入參考失調和噪聲134
5.4差動級136
5.4.1大信號工作137
5.4.2差分信號138
5.4.3共模信號140
5.4.4輸入參考失調和噪聲143
5.4.5電源抑製145
5.4.6摺疊式Cascode147
5.5總結151
5.6復習題152
第6章負反饋154
6.1反饋環路154
6.1.1環路構成154
6.1.2調整155
6.1.3輸齣轉化156
6.2反饋效應156
6.2.1靈敏度156
6.2.2阻抗157
6.2.3頻率響應160
6.2.4噪聲162
6.2.5綫性度163
6.3負反饋結構166
6.3.1跨導放大器166
6.3.2電壓放大器167
6.3.3電流放大器168
6.3.4跨阻放大器169
6.4分析170
6.4.1分析過程170
6.4.2疊加器173
6.4.3采樣器174
6.4.4跨導放大器175
6.4.5電壓放大器179
6.4.6電流放大器183
6.4.7跨阻放大器188
6.5穩定性193
6.5.1頻率響應193
6.5.2補償195
6.5.3反相零點200
6.5.4嵌入式環路202
6.6設計202
6.6.1設計概念202
6.6.2係統結構設計203
6.6.3頻率補償204
6.7總結204
6.8復習題205
第7章偏置電流和基準電路207
7.1電壓基元207
7.2PTAT電流208
7.2.1交叉耦閤四管單元209
7.2.2鎖存單元210
7.3CTAT電流213
7.3.1電流采樣BJT214
7.3.2電壓采樣二極管214
7.4溫度補償215
7.4.1帶誤差補償的BJT電流基準源216
7.4.2基於二極管的電流基準源217
7.4.3帶誤差補償的基於二極管的電流基準源218
7.5啓動電路218
7.5.1連續導通啓動電路219
7.5.2按需導通啓動電路220
7.6頻率補償222
7.7電源噪聲抑製223
7.8帶隙電流基準源224
7.8.1基於BJT的帶隙電流基準源224
7.8.2基於二極管的帶隙電流基準源225
7.9帶隙電壓基準源226
7.9.1電流-電壓轉換226
7.9.2輸齣電壓調整227
7.10精度230
7.11總結231
7.12復習題232
第8章小信號響應234
8.1小信號等效電路234
8.2無補償時的響應236
8.2.1相關電容和電阻236
8.2.2環路增益236
8.3頻率補償239
8.3.1輸齣端補償240
8.3.2內部補償242
8.4電源抑製245
8.4.1分壓器模型246
8.4.2饋通噪聲247
8.4.3米勒電容253
8.4.4分析255
8.4.5結論261
8.5補償策略對比261
8.6總結262
8.7復習題264
第9章集成電路設計265
9.1設計流程265
9.2功率晶體管266
9.2.1備選方案266
9.2.2版圖269
9.3緩衝器276
9.3.1驅動N型功率晶體管276
9.3.2驅動P型功率晶體管278
9.3.3版圖290
9.4誤差放大器290
9.4.1淨空291
9.4.2電源抑製294
9.4.3輸入參考失調296
9.4.4版圖299
9.5總結307
9.6復習題309
第10章綫性穩壓器310
10.1低壓差穩壓器310
10.1.1輸齣端補償的PMOS穩壓器310
10.1.2米勒補償的PMOS穩壓器314
10.2寬帶穩壓器318
10.2.1內部補償的NMOS穩壓器319
10.3自參考穩壓器322
10.3.1零階溫度無關性322
10.3.2溫度補償323
10.4性能增強330
10.4.1功率晶體管330
10.4.2緩衝器333
10.4.3環路增益335
10.4.4負載調整率336
10.4.5負載突變響應339
10.4.6電源抑製340
10.5電流調整343
10.5.1電流源343
10.5.2電流鏡344
10.6總結347
10.7復習題347
第11章保護與特性349
11.1保護349
11.1.1過電流保護349
11.1.2熱關斷353
11.1.3反嚮電池保護355
11.1.4靜電放電保護356
11.2特性358
11.2.1模擬負載359
11.2.2調整性能360
11.2.3功率性能366
11.2.4工作要求368
11.2.5啓動370
11.3總結371
11.4復習題

前言/序言

  原 書 前 言
  我寫作本書第1版的初衷是為瞭介紹、討論並分析怎樣設計、仿真、構建、測試和評估綫性低壓差(Low DropOut,LDO)穩壓器集成電路。LDO穩壓器集成電路在現代生活和新興的最先進應用中起到瞭重要的作用,並且隨著片上係統(SoC)集成需求的不斷增大,在持續推動已有市場的同時,開創齣更多新市場,這些因素構成瞭寫作本書第1版的動力。事實上,由於噪聲的普遍性,輸入信號的未知性,以及功能負載要求負載點(Point of Load,PoL)穩壓器消耗極少的功率就可以産生精確且快速響應的電源電壓,因此,現在不包含功率調整特性的傳統混閤信號芯片必須將係統和PoL電源整閤在一起。在穩壓器選擇方麵,由於開關穩壓器的輸齣包含瞭大量噪聲,而這是不能容忍的,因此綫性穩壓器在模擬和混閤信號芯片中占據瞭重要地位。
  然而,對於綫性穩壓器的教學,若沒有相關模擬集成電路基礎的介紹,將是不完整的。因此,與業界流行書籍的寫作方式類似,本書也介紹模擬集成電路的基本理論,但是本書將從模擬集成電路直觀、麵嚮設計的角度來介紹模擬集成電路設計,我認為這在設計芯片時是非常有用和必要的。該理念是,不需要藉助於工具書上的公式(這些公式的成立前提並非總是適用,特彆是在開發新技術時),對預測半導體器件的各自特性和在電路中的組閤特性有必要的認識。當然,具備瞭這樣的洞察力,讀者將可能具備重現和驗證教科書上已有的公式和理論的能力。
  關於本書第2版
  本書第2版旨在擴充、提高和更新第1版的描述,以使概念和研究進展更加清晰和深刻。從很多角度來看,本版都是一本全新的書。首先,我重組瞭章節並重寫瞭內容,同時更新瞭幾乎全部的公式和圖標,增加瞭實例和思考題,並幾乎在每個章節都增加瞭新的內容。此外,本書還包括關於偏置電流和基準電路的一個章節,像大部分的模擬係統一樣,綫性穩壓器必須依靠它們纔能被喚醒和正常工作。
  舉例來說,第1版的第1章,在本版中被分為兩個部分:一個部分介紹電源係統;另一部分更具體地介紹綫性穩壓器。類似地,第3章也被分為兩個部分:一個部分介紹單晶體管基本單元;另一部分介紹模擬電路基本模塊。第1-3章中新的內容包括帶寬延時、品質因子、開放式設計變量、偏置點、小信號、絕對和相對精度,以及金屬-氧化物-半導體場效應晶體管(MOSFET)中的亞閾值、弱反型、MOS電容和溝道電阻等內容。第4章和第5章中新的內容包括二端口模型、頻率響應、信號流、信號延時、增益分析、直接轉換、基極退化、基極/柵極耦閤差分對和摺疊式共源共柵放大器等,其中包括關於轉換速度、電源抑製、輸入參考失調和噪聲的討論。
  除瞭一些基本概念之外,第6章中關於負反饋的描述完全是新的。關於靈敏度、頻率響應、噪聲、綫性度、反饋結構、嵌入式和並行式反饋環的內容都是新的,同時本章還介紹瞭13個反饋實例,並對負反饋環路的設計進行瞭深入解析。第7章也是新章節,內容包括帶隙電路中的正溫度係數(Proportional To Absolute Temperature,PTAT)和負溫度係數(Complementary To Absolute Temperature,CTAT)電流、溫度補償、啓動、頻率補償和噪聲抑製。第10章和第11章介紹瞭電源抑製性能提高技術和基準電壓修調技術。
  目標讀者
  本書從綫性穩壓器的角度,藉助大量的實例,嚮初級微電子工程師介紹瞭整個模擬集成電路的設計流程,並引導其熟悉應用。同時,本書也可以對幾乎沒有綫性穩壓器和集成電路設計概念的電力電子領域模擬電路工程師起到啓濛引導作用。當然,本書也適用於有經驗的電源集成電路設計工程師,相信本書不僅能幫助他們在迴顧模擬電路和綫性穩壓器的理論時有更深刻的理解,而且能從最新的綫性穩壓器的技術發展中得到啓發和激勵。
  組織
  本書分為11個章節。第1章和第2章類似於産品定義階段(但是以更偏嚮學術的方式),這個階段,半導體公司通過定義産品的作用和工作目標來評估設計工作的難度,在此處,針對的是綫性穩壓器。然而,在承擔某項設計工作之前,一個沒有經驗的工程師必須在模擬集成電路設計領域得到適當的訓練,這也是第3-7章討論的內容,即固態電子學理論和器件、單晶體管基本單元、模擬電路基本模塊、負反饋和偏置電路。有瞭這些背景知識,第8章又迴到瞭綫性穩壓器,更具體地介紹瞭綫性穩壓器的小信號響應,這對應於原型開發周期的第二階段,在此階段,設計者可以運用第3-6章中討論的電路和反饋理論著手設計係統,以滿足第1-2章提齣的要求。
  第9-10章結閤第3章的器件知識、第4-6章的電路理論和第8章的補償策略設計實際的穩壓器電路,首先是第9章器件級設計,然後進入第10章係統級設計。從設計者的角度來看,因為所有的模擬電路的設計訓練以及芯片設計都會重點關注這部分內容,所以這兩章就是開發流程的重點。本書的最後一章即第11章討論集成電路的保護和特性,這也是産品設計周期的最後兩個步驟。整體來看,本書是一個自頂嚮下再到頂(Top-Down-Top)的設計實例,全書從抽象視角開始係統分析,然後進入器件級進行基礎分析,之後逐漸上升到電路設計,最後再到係統設計,但最終設計以晶體管級的形式實現。
  初級工程師可以按照順序學習本書全部11章的內容,迴顧整體設計流程,充分學習模擬集成電路設計;也可以隻看特定的章節以加強對特定模擬電路設計原則的理解,比如第3-7章關於器件、電路、反饋與偏置,第1-2章和第8-10章關於綫性穩壓器集成電路,第11章關於保護與特性。對於一個幾乎未涉足過穩壓器設計領域但有一定經驗的模擬電路設計工程師,可以不需要迴顧基本模擬電路原理,直接參考第1-2章和第8-11章學習穩壓器的特定知識。另外,資深穩壓器芯片設計者也可以通過第1-2章和第8-11章加深對現有技術的理解,同時在第3-7章中迴顧模擬集成電路的設計原則。
  為瞭方便讀者找到目標章、節和小節,我盡量使每一章都獨立,將我認為彼此相關聯的內容放在一起,且針對特定內容劃分小節、閤理命名。希望通過這樣的方式,工程師們可以更容易地找到他們感興趣的部分,並且通過內容導航到相關章節。
  關於寫作
  總體而言,本書全麵介紹瞭模擬集成電路的設計方法,包括固態半導體理論、電路設計、模擬電路基本模塊分析、反饋概念與偏置電路、頻率響應、集成電路設計以及電路的保護與特性。與其他模擬電路書籍不同的是,本書強調模擬集成電路設計直觀,並將其應用於基準電路和綫性穩壓器的設計。本書呈現的風格、形式和思想方式是我在該領域超過20年的設計經驗的總結:作為一個模擬集成電路設計師,開發瞭多款商用電源微電子芯片;作為教授和研究員,在電源和能 模擬集成電路設計 以LDO設計為例(原書第2版) [Analog IC Design with Low-Dropout Regulators,Secon] 下載 mobi epub pdf txt 電子書 格式

模擬集成電路設計 以LDO設計為例(原書第2版) [Analog IC Design with Low-Dropout Regulators,Secon] mobi 下載 pdf 下載 pub 下載 txt 電子書 下載 2024

模擬集成電路設計 以LDO設計為例(原書第2版) [Analog IC Design with Low-Dropout Regulators,Secon] 下載 mobi pdf epub txt 電子書 格式 2024

模擬集成電路設計 以LDO設計為例(原書第2版) [Analog IC Design with Low-Dropout Regulators,Secon] 下載 mobi epub pdf 電子書
想要找書就要到 圖書大百科
立刻按 ctrl+D收藏本頁
你會得到大驚喜!!

用戶評價

評分

希望有用吧,書還挺貴的!!!

評分

還不錯,對最近對設計有實際的幫助~

評分

好評

評分

是本好書,值得看,有作者獨到見解。

評分

東西不錯,送貨也快

評分

可以可以,就是有點小貴

評分

結局到底有沒有意義瞭!你不接就

評分

原來是第二版

評分

不是特彆滿意,京東自營買瞭這麼多書,第一次包裝這麼簡陋,不過書本很好,質量沒得挑

類似圖書 點擊查看全場最低價

模擬集成電路設計 以LDO設計為例(原書第2版) [Analog IC Design with Low-Dropout Regulators,Secon] mobi epub pdf txt 電子書 格式下載 2024


分享鏈接




相關圖書


本站所有內容均為互聯網搜索引擎提供的公開搜索信息,本站不存儲任何數據與內容,任何內容與數據均與本站無關,如有需要請聯繫相關搜索引擎包括但不限於百度google,bing,sogou

友情鏈接

© 2024 book.qciss.net All Rights Reserved. 圖書大百科 版權所有