ad holder

数字电子技术/教育部推荐教材·21世纪高职高专系列规划教材

数字电子技术/教育部推荐教材·21世纪高职高专系列规划教材 下载 mobi epub pdf 电子书 2024


简体网页||繁体网页
张明金 等 编



点击这里下载
    


想要找书就要到 图书大百科
立刻按 ctrl+D收藏本页
你会得到大惊喜!!

发表于2024-04-28

类似图书 点击查看全场最低价

图书介绍

出版社: 北京师范大学出版社
ISBN:9787303098569
版次:1
商品编码:10157655
包装:平装
开本:16开
出版时间:2009-04-01
用纸:胶版纸
页数:280
字数:390000
正文语种:中文


相关图书





图书描述

内容简介

  本书是编者将多年的高职高专的教育教学经验、积累和收集的资料整理汇编,在北京师范大学出版社的组织下,编写而成的。
  本书是电子类、电气类、信息类专业的数字电子技术课程教材。本书共8章,内容包括数字电路基础、集成门电路、组合逻辑电路、触发器、时序逻辑电路、脉冲产生与变换、数/模转换和模/数转换、存储器和可编程逻辑器件及附录内容。
  本书在编写的过程中,本着“精选内容,打好基础,培养能力”的精神,以学生的“技术应用能力的培养”为主线,力求讲清基本概念,强化应用为重点,深入浅出地阐述了数字集成电路的基本原理和逻辑功能,突出了中规模集成电路的应用。精选有助于建立概念、掌握方法、联系实际应用的例题和习题。各章目的要求明确。每章有自测题与习题,每节后有思考题,可供读者练习和思考。书后附有答案,以便读者自学。
  在本教材编写时采取了以下措施:
  (1)每小节内容后附有针对本节内容的思考题,以便学生学完本节内容后,对本节内容进行思考,或课前先看思考题,带着问题听课,以激发学生的求知欲。每章后附有自测题与习题,自测题以便学生检查学完本章内容后
  的掌握情况,习题作为作业题。
  (2)在保证基本概念、基本原理和基本分析及设计方法的前提下,简化集成电路的结构和工作原理的讲述,以集成电路的功能及应用为主。
  (3)在知识的讲解上,力求用简练的语言循序渐进、深入浅出地让学生理解并掌握基本概念,熟悉各种典型的单元电路。对基本单元电路着重介绍其外部特性和参数,以及使用方法和实际应用;对典型电路进行分析时,不做过于烦锁的理论推导。
  (4)EDA技术在电子技术领域中得到了广泛应用,本书附录部分对目前比较先进的EDA软件Multisim7作了简介,并附有数字电子电路的仿真电路。
  本书适用于高职、高专和各类成人教育电子类、电气类、信息类等专业学生使用,也可供从事电子技术的有关人员参考。

目录

第1章 数字电路基础
1.1 概述
1.1.1 数字电路概述
1.1.2 脉冲波形的有关参数
1.2 数制与码制
1.2.1 常用数制
1.2.2 不同进制的相互转换
1.2.3 码制
1.3 逻辑代数的基本运算及基本逻辑门
1.3.1 与逻辑及与门
1.3.2 或逻辑及或门
1.3.3 非逻辑和非门
1.3.4 复合逻辑和复合门
1.3.5 逻辑函数及其表示方法
1.4 逻辑代数的定律和运算规则
1.4.1 逻辑代数的基本定律和公式。
1.4.2 逻辑代数的基本规则
1.5 逻辑函数的代数化简法
1.6 逻辑函数的卡诺图化简法
1.6.1 逻辑函数的最小项
1.6.2 用卡诺图化简逻辑函数
1.6.3 具有约束项的逻辑函数的化简
本章小结
自测题与习题

第2章 集成门电路
2.1 TTl.集成门电路
2.1.1 TTL与非门
2.1.2 TTL门的改进电路
2.1.3 其他功能的TTL门电路
2.2 CMOS集成门电路
2.2.1 CMOS门电路
2.2.2 CMOS门电路系列及型的命名法
2.3 集成门电路使用常识
2.3.1 各种集成门的性能比较
2.3.2 集成门电路使用注意事项
本章小结
自测题与习题

第3章 组合逻辑电路
3.1 组合逻辑电路的分析方法和设计方法和设计方法
3.1.1 组合逻辑电路的分析方法
3.1.2 组合逻辑电路的设计方法
3.2 编码器
3.2.1 编码器
3.2.2 集成优先编码器
3.3 译码器
3.3.1 概述
3.3.2 集成译码器
3.3.3 译码器的应用
3.4 数据选择器和数据分配器
3.4.1 数据选择器
3.4.2 数据分配器
3.5 数值比较器
3.5.1 数值比较器的定义及功能
3.5.2 集成数值比较器
3.6 算术运算电路
3.6.1 半加器
3.6.2 全加器
3.6.3 多位数加法器
3.7 组合逻辑电路中的竞争与冒险现象
本章小结
自测题与习题

第4章 触发器
4.1 RS触发器
4.1.1 基本RS触发器
4.1.2 同步RS触发器
4.2 JK触发器
4.2.1 主从型JK触发器
4.2.2 边沿型JK触发器
4.3 D触发器
4.3.1 维持阻塞型D触发器
4.3.2 集成D触发器
4.4 其他类型的触发器及触发器使用常识
4.4.1 T和T触发器
4.4.2 触发器使用常识
本章小结
自测题与习题

第5章 时序逻辑电路
5.1 时序逻辑电路的分析方法
5.1.1 概述
5.1.2 时序电路的分析方法
5.1.3 时序电路分析举例
5.2 计数器
5.2.1 同步计数器
5.2.2 异步计数器
5.3 寄存器
5.3.1 数码寄存器
5.3.2 移位寄存器
本章小结
自测题与习题

第6章 脉冲产生与变换
6.1 集成555定时器
6.1.1 555定时器的分类及电路组成
6.1.2 555定时器的功能及主要参数
6.2 施密特触发器
6.2.1 用555定时器构成的施密特触发器
6.2.2 集成施密特触发器
6.2.3 施密特触发器的应用
6.3 单稳态触发器
6.3.1 用555定时器构成的单稳态触发器
6.3.2 集成单稳态触发器
6.3.3 单稳态触发器的应用
6.4 多谐振荡器
6.4.1 用555定时器构成的多谐振荡器
6.4.2 石英晶体多谐振荡器
本章小结
自测题与习题

第7章 数/模转换和模/数转换
7.1 概述
7.2 数/模转换器(DAC)
7.2.1 DAt:的基本工作原理
7.2.2 倒T型电阻网络DAC
7.2.3 DAC主要技术指标
7.2.4 集成DAC举例
7.3 模/数转换器(ADC)
7.3.1 ADC的基本工作原理
7.3.2 逐次逼近型ADC
7.3.3 双积分型ADC
7.3.4 并联比较型ADC
7.3.5 ADC的主要技术指桶
7.3.6 集成ADC举例
本章小结
自测题与习题

第8章 存储器和可编程逻辑器件
8.1 概述
8.1.1 存储器的基本概念
8.1.2 存储器的分类
8.1.3 存储器的主要性能指标
8.2 只读存储器
8.2.1 固定只读存储器(ROM)
8.2.2 可编程只读存储器
8.2.3 ROM容量的扩展
8.3 随机存取的存储器(RAM)
8.4 可编程逻辑器件
8.4.1 可编程逻辑阵列(PLA)
8.4.2 可编程阵列逻辑(PAL)
8.4.3 通用阵列逻辑(GAL)
8.4.4 高密度可编程逻辑器件简介
本章小结
自测题与习题
附录A 常用数字集成电路一览表
附录B Multisim7简介
附录C 数字电子电路仿真电路
部分思考题和习题参考答案
参考文献

精彩书摘

  第1章 数字电路基础
  内容导读:数字电路主要是研究输出和输入信号之间的对应逻辑关系,其分析的主要工具是逻辑代数。本章将介绍数制的基本知识,包括数制、数制之间的相互转换及码制;逻辑代数的基本运算和基本逻辑门电路;逻辑函数的公式化简方法和卡诺图化简方法;基本门电路和复合逻辑电路的逻辑功能。
  学习要求:了解数字信号及数字电路的特点;掌握数制与码制的概念及不同进制的相互转换;熟练地掌握基本逻辑关系、基本数字逻辑器件的功能和逻辑符号;掌握两种逻辑函数化简的方法;能够熟练地应用卡诺图化简四变量及四变量以下的逻辑函数。
  1.1 概述
  1.1.1 数字电路概述
  1.数字信号和数字电路
  电信号可分为模拟信号和数字信号两类。模拟信号指的是在时间上和幅度上都是连续变化的信号,如由温度传感器转换来的反映温度变化的电信号就是模拟信号。在模拟电子技术中所讨论的电路其输入、输出信号都是模拟信号。数字信号指的是在时间和幅度上都是离散的信号,如矩形波就是典型的数字信号。数字信号常用抽象出来的二值信息l和0表示,反映在电路上就是高电平和低电平两种状态,如图1.1所示。
  电子电路分为数字电路和模拟电路两类。模拟电路是用来处理模拟信号的电路,数字电路是用来处理数字信号的电路,数字电路主要完成数字信号的产生、变换、传输、存储、控制、运算等。由于数字电路的输出信号和输入信号之问都有一定的逻辑关系,因此,数字电路又称为逻辑电路。

前言/序言

  本书是编者将多年的高职高专的教育教学经验、积累和收集的资料整理汇编,在北京师范大学出版社的组织下,编写而成的。
  本书是电子类、电气类、信息类专业的数字电子技术课程教材。本书共8章,内容包括数字电路基础、集成门电路、组合逻辑电路、触发器、时序逻辑电路、脉冲产生与变换、数/模转换和模/数转换、存储器和可编程逻辑器件及附录内容。
  本书在编写的过程中,本着“精选内容,打好基础,培养能力”的精神,以学生的“技术应用能力的培养”为主线,力求讲清基本概念,强化应用为重点,深入浅出地阐述了数字集成电路的基本原理和逻辑功能,突出了中规模集成电路的应用。精选有助于建立概念、掌握方法、联系实际应用的例题和习题。各章目的要求明确。每章有自测题与习题,每节后有思考题,可供读者练习和思考。书后附有答案,以便读者自学。
  在本教材编写时采取了以下措施:
  (1)每小节内容后附有针对本节内容的思考题,以便学生学完本节内容后,对本节内容进行思考,或课前先看思考题,带着问题听课,以激发学生的求知欲。每章后附有自测题与习题,自测题以便学生检查学完本章内容后
  的掌握情况,习题作为作业题。
  (2)在保证基本概念、基本原理和基本分析及设计方法的前提下,简化集成电路的结构和工作原理的讲述,以集成电路的功能及应用为主。
  (3)在知识的讲解上,力求用简练的语言循序渐进、深入浅出地让学生理解并掌握基本概念,熟悉各种典型的单元电路。对基本单元电路着重介绍其外部特性和参数,以及使用方法和实际应用;对典型电路进行分析时,不做过于烦锁的理论推导。
  (4)EDA技术在电子技术领域中得到了广泛应用,本书附录部分对目前比较先进的EDA软件Multisim7作了简介,并附有数字电子电路的仿真电路。
  本书适用于高职、高专和各类成人教育电子类、电气类、信息类等专业学生使用,也可供从事电子技术的有关人员参考。
数字电子技术/教育部推荐教材·21世纪高职高专系列规划教材 下载 mobi epub pdf txt 电子书 格式

数字电子技术/教育部推荐教材·21世纪高职高专系列规划教材 mobi 下载 pdf 下载 pub 下载 txt 电子书 下载 2024

数字电子技术/教育部推荐教材·21世纪高职高专系列规划教材 下载 mobi pdf epub txt 电子书 格式 2024

数字电子技术/教育部推荐教材·21世纪高职高专系列规划教材 下载 mobi epub pdf 电子书
想要找书就要到 图书大百科
立刻按 ctrl+D收藏本页
你会得到大惊喜!!

用户评价

评分

评分

评分

评分

评分

评分

评分

评分

评分

类似图书 点击查看全场最低价

数字电子技术/教育部推荐教材·21世纪高职高专系列规划教材 mobi epub pdf txt 电子书 格式下载 2024


分享链接








相关图书


本站所有内容均为互联网搜索引擎提供的公开搜索信息,本站不存储任何数据与内容,任何内容与数据均与本站无关,如有需要请联系相关搜索引擎包括但不限于百度google,bing,sogou

友情链接

© 2024 book.qciss.net All Rights Reserved. 图书大百科 版权所有